Ingeniería, Investigación y Tecnología

Ingeniería, Investigación y Tecnología

VLSI Design with Alliance Free CAD Tools: an Implementation Example Diseño VLSI con herramientas CAD libres de Alliance: un ejemplo de implementación

Under a Creative Commons license

open access

Abstract

This paper presents the methodology used for a digital integrated circuit design that implements the communication protocol known as Serial Peripheral Interface, using the Alliance CAD System. The aim of this paper is to show how the work of VLSI design can be done by graduate and undergraduate students with minimal resources and experience. The physical design was sent to be fabricated using the CMOS AMI C5 process that features 0.5 micrometer in transistor size, sponsored by the MOSIS Educational Program. Tests were made on a platform that transfers data from inertial sensor measurements to the designed SPI chip, which in turn sends the data back on a parallel bus to a common microcontroller. The results show the efficiency of the employed methodology in VLSI design, as well as the feasibility of ICs manufacturing from school projects that have insufficient or no source of funding.

Resumen

En este artículo se presenta la metodología usada en el diseño de un circuito integrado digital que implementa el protocolo de comunicación denominado Interface de Periféricos Serial, utilizando el sistema CAD Alliance. La finalidad es mostrar cómo la tarea del diseño VLSI puede ser realizada por estudiantes o profesionistas, con un mínimo de recursos y experiencia. El diseño físico fue enviado para su fabricación usando el proceso CMOS AMI C5 caracterizado por un tamaño de transistor de 0.5 micrometros, auspiciado por el programa educativo de MOSIS. Las pruebas se realizaron sobre una plataforma que transfiere los datos desde mediciones de un sensor inercial hacia el chip SPI diseñado, el cual a su vez envía los datos de nuevo por un bus paralelo hacia un microcontrolador común. Los resultados mostraron la eficacia de la metodología de diseño VLSI empleada, así como la factibilidad de fabricación de diseños realizados en proyectos escolares cuyas fuentes de financiamiento sean insuficientes o nulas.

Keywords

VLSI design

Alliance CAD system

MOSIS Educational Program

SPI

Descriptores

diseño VLSI

sistema CAD Alliance

programa educativo MOSIS

SPI

Ramón Chávez-Bracamontes. BEng degree and MSc degree by Instituto Tecnológico de Ciudad Guzman (ITCG), Jalisco, Mexico, in 1991 and 2005, respectively. He is currently a PhD candidate in Science and Technology at the Centro de Ingeniería y Desarrollo Industrial (CIDESI) Querétaro, Mexico. He is a faculty lecturer since 1991 in several undergraduate and graduate programs for Electrical & Electronics Engineering, Mechanical and Systems at ITCG in several areas such as Power Electronics, Instrumentation, Digital Systems, Optoelectronics, Digital Signal Processing, Electronic Design, and Electrical Measurements.

Reyna Itzel García-López. BEng in Electronics Engineering by Instituto Tecnológico de Querétaro in 2011, MSc in Science and Technology with specialization in Mechatronics from CIDESI in 2013.

Marco Antonio Gurrola-Navarro. BEng in Electronics and Communications by Universidad de Guadalajara, Mexico in 1997, MSc in Earth Sciences by Universidad de Guadalajara, 2003, and PhD specialized in IC Design, by the Instituto Nacional de Astrofísica Óptica y Electrónica, in Tonantzintla, Mexico in 2009. Since 2009 he has been working at the Universidad de Guadalajara and is a member of the Department of Electronics at the Centro Universitario de Ciencias Exactas e Ingenierías, CUCEI. His research interests are design of digital and analog integrated circuits.

Manuel Bandala-Sánchez. BEng in Electronics Engineering by the Instituto Tecnológico de Puebla in 2001. MPhil / PhD in Microsystems & Sensors Engineering by the University of Lancaster (UK) in 2009. His professional experience includes companies/organizations like Centro de Ingeniería y Desarrollo Industrial (CIDESI, Querétaro), Hybrid Instruments (Lancaster, UK), Integrated Solutions Group (GSI, Puebla), Engineering and Instrumentation and Metrology Services (ISEYSA, Tlaxcala). His research interests are microsystems, microcontroller programming and MEMS.

Copyright © 2015